목록Wireless Comm./VHDL (36)
UOMOP
Testbench code library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tb_down_ver2 is end tb_down_ver2; architecture behavior of tb_down_ver2 is component clkgen port(nrst : in std_logic; mclk : in std_logic; clk8x : out std_logic; clk4x : out std_logic; clk2x : out std_logic; clk1x : out std_logic ); end component; component rbgen port(nrst : in std_logic; clk : in s..
RTL code library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity downsample is port(nrst, clk4x, clk1x : in std_logic; rd4x, id4x : in std_logic_vector(5 downto 0); rd1x, id1x : out std_logic_vector(5 downto 0) ); end downsample; architecture arch of downsample is signal rd4xsym, id4xsym : std_logic_vector(5 downto 0); signal num_r1, num_r2, num_r3, num_r4 : std_logic_..
RTL code library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity upsample is port(nrst, clk4x : in std_logic; rdata, idata : in std_logic_vector(5 downto 0); rd4x, id4x : out std_logic_vector(5 downto 0); selection : in std_logic_vector(1 downto 0) ); end upsample; architecture arch of upsample is signal r_upout, i_upout : std_logic_vector(5 downto 0); begin process(nr..
RTL code library ieee; use ieee.std_logic_1164.all; entity QPSKdemapper is port(nrst : in std_logic; pclk : in std_logic; rdata_de : in std_logic_vector(5 downto 0); idata_de : in std_logic_vector(5 downto 0); outdata_de : out std_logic_vector(1 downto 0) ); end entity; architecture arch of QPSKdemapper is signal finalbits : std_logic_vector(1 downto 0); begin process(nrst, pclk) begin if nrst =..
RTL code library ieee; use ieee.std_logic_1164.all; entity QPSKmapper is port(nrst, pclk : in std_logic; inbits : in std_logic_vector(1 downto 0); rdata : out std_logic_vector(5 downto 0); idata : out std_logic_vector(5 downto 0) ); end QPSKmapper; architecture arch of QPSKmapper is signal rmapout, imapout : std_logic_vector(5 downto 0); --signal inbits : std_logic_vector(1 downto 0); begin proc..