목록전체 글 (295)
UOMOP
Module Source Code library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity latchedadder is port( nrst, clk : in std_logic; InData : in std_logic_vector(7 downto 0); OutData : out std_logic_vector(7 downto 0)); end latchedadder; architecture behavior of latchedadder is signal b, a : std_logic_vector(7 downto 0); begin process(nrst, clk) begin if nrst = '0' then b '0'); ..
Module Source Code library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity up_down_counter is port( nrst : in std_logic; clk : in std_logic; sel : in std_logic; cntout : out std_logic_vector(3 downto 0)); end up_down_counter; architecture behavior of up_down_counter is signal cnt : std_logic_vector(3 downto 0); begin process(nrst, clk, sel) begin if nrst = '0' then cnt..
int main() { int a = 1, b = 2, c = 3; printf("a의 주소 : %d\ta의 값 : %d\n", &a, a); printf("b의 주소 : %d\tb의 값 : %d\n", &b, b); printf("c의 주소 : %d\tc의 값 : %d\n\n", &c, c); printf("-----Pointer는 현재 포인터 변수이다.-----\n"); int *Pointer; //포인터 변수 A에는 a의 주소가 저장. Pointer = &a; printf("Pointer : %d\t\t*Pointer : %d\n", Pointer, *Pointer); Pointer = &b; printf("Pointer : %d\t\t*Pointer : %d\n", Pointer, *Pointer..
한 프로젝트에 함수가 중복적으로 사용되었거나, 헤더파일이 중복되면 다음과 같은 에러가 발생한다. 해결방법 : 프로젝트 -> (프로젝트명) 속성 -> 링커 -> 명령줄 -> 하단에 추가옵션에 "/FORCE:multiple" -> apply -> 확인
Forecasts = sn.WeatherReader() def WeatherReader(): Path = "..\data\Origin\F1.csv" Forecasts = pd.read_csv(Path) del Forecasts["id"] # excel파일을 읽어드리지만, 이것이 시간인지 뭔지 모르기 때문에 이걸 숫자로 바꿔주는 것이 중요하다. # 그렇기 때문에 읽어드린 내용은 to_datetime을 통해서 시간으로 바꿔주는 과정이 필요한 것이다. Forecasts["fcst_time"] = pd.to_datetime(Forecasts["fcst_time"], format="%Y-%m-%d %H:%M:%S", utc=True) Forecasts["fcst_time"] = Forecasts["fcst_tim..
import pandas as pd A = pd.Timestamp(year=2021, month=7, day=1, hour=1) print(A) print(A.year) print(A.month) print(A.day) print(A.hour) 2021-07-01 01:00:00 2021 7 1 1 시간 정보를 년, 월, 일, 시간, 분 개념의 시간 단위로 바꿔준다. import datetime as datetime now = datetime.datetime.today() print(now) 2022-02-15 17:54:21.241733